notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

Finally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combination for a given watch list. This is what FreshPorts will look for.

Port details on branch 2022Q3
cascade-compiler Just-In-Time Compiler for Verilog from VMware Research
g20200105.1 cad on this many watch lists=0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout g20200105.1Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-01-05 08:08:21
Last Update: 2022-09-07 21:58:51
Commit Hash: fb16dfe
License: BSD2CLAUSE
WWW:
https://github.com/vmware/cascade
Description:
Cascade is a novel solution to the problem that FPGAs take a long time to program, with complex programs taking hours to be compiled to run on FPGA. Cascade is a first just-in-time compiler for Verilog. Cascade executes code immediately in a software simulator, and performs compilation in the background. When compilation is finished, the code is moved into hardware, and from the user's perspective it simply gets faster over time. Cascade's ability to move code back and forth between software and hardware also makes it the first platform to provide generic support for the execution of unsynthesizable Verilog from hardware.
Homepage    cgit ¦ Codeberg ¦ GitHub ¦ GitLab ¦ SVNWeb

Manual pages:
FreshPorts has no man page information for this port.
pkg-plist: as obtained via: make generate-plist
Expand this list (269 items)
Collapse this list.
  1. /usr/local/share/licenses/cascade-compiler-g20200105.1/catalog.mk
  2. /usr/local/share/licenses/cascade-compiler-g20200105.1/LICENSE
  3. /usr/local/share/licenses/cascade-compiler-g20200105.1/BSD2CLAUSE
  4. bin/cascade
  5. bin/cascade_slave
  6. bin/quartus_server
  7. bin/sw_fpga
  8. include/cascade.h
  9. include/cascade/cl/arg.h
  10. include/cascade/cl/arg_table.h
  11. include/cascade/cl/args.h
  12. include/cascade/cl/cl.h
  13. include/cascade/cl/comment_stream.h
  14. include/cascade/cl/dir_arg.h
  15. include/cascade/cl/file_arg.h
  16. include/cascade/cl/flag_arg.h
  17. include/cascade/cl/group.h
  18. include/cascade/cl/simple.h
  19. include/cascade/cl/singleton.h
  20. include/cascade/cl/str_arg.h
  21. include/cascade/common/bits.h
  22. include/cascade/common/cachestream.h
  23. include/cascade/common/fdstream.h
  24. include/cascade/common/incstream.h
  25. include/cascade/common/indstream.h
  26. include/cascade/common/log.h
  27. include/cascade/common/serializable.h
  28. include/cascade/common/sockserver.h
  29. include/cascade/common/sockstream.h
  30. include/cascade/common/system.h
  31. include/cascade/common/thread.h
  32. include/cascade/common/thread_pool.h
  33. include/cascade/common/tokenize.h
  34. include/cascade/common/undo_map.h
  35. include/cascade/common/undo_set.h
  36. include/cascade/common/undo_val.h
  37. include/cascade/common/undo_vector.h
  38. include/cascade/common/undoable.h
  39. include/cascade/common/uuid.h
  40. include/cascade/common/vector.h
  41. include/cascade/runtime/data_plane.h
  42. include/cascade/runtime/ids.h
  43. include/cascade/runtime/isolate.h
  44. include/cascade/runtime/module.h
  45. include/cascade/runtime/nullbuf.h
  46. include/cascade/runtime/runtime.h
  47. include/cascade/target/compiler.h
  48. include/cascade/target/compiler/local_compiler.h
  49. include/cascade/target/compiler/local_interface.h
  50. include/cascade/target/compiler/remote_compiler.h
  51. include/cascade/target/compiler/remote_interface.h
  52. include/cascade/target/compiler/rpc.h
  53. include/cascade/target/compiler/stub_core.h
  54. include/cascade/target/core.h
  55. include/cascade/target/core/avmm/avalon/avalon_compiler.h
  56. include/cascade/target/core/avmm/avalon/avalon_logic.h
  57. include/cascade/target/core/avmm/avalon/syncbuf.h
  58. include/cascade/target/core/avmm/avmm_compiler.h
  59. include/cascade/target/core/avmm/avmm_logic.h
  60. include/cascade/target/core/avmm/de10/de10_compiler.h
  61. include/cascade/target/core/avmm/de10/de10_config.h
  62. include/cascade/target/core/avmm/de10/de10_gpio.h
  63. include/cascade/target/core/avmm/de10/de10_led.h
  64. include/cascade/target/core/avmm/de10/de10_logic.h
  65. include/cascade/target/core/avmm/de10/de10_pad.h
  66. include/cascade/target/core/avmm/de10/hps.h
  67. include/cascade/target/core/avmm/de10/io.h
  68. include/cascade/target/core/avmm/de10/quartus_server.h
  69. include/cascade/target/core/avmm/de10/socal.h
  70. include/cascade/target/core/avmm/machinify.h
  71. include/cascade/target/core/avmm/rewrite.h
  72. include/cascade/target/core/avmm/text_mangle.h
  73. include/cascade/target/core/avmm/ulx3s/ulx3s_compiler.h
  74. include/cascade/target/core/avmm/ulx3s/ulx3s_logic.h
  75. include/cascade/target/core/avmm/var_table.h
  76. include/cascade/target/core/avmm/verilator/verilator_compiler.h
  77. include/cascade/target/core/avmm/verilator/verilator_logic.h
  78. include/cascade/target/core/common/interfacestream.h
  79. include/cascade/target/core/common/printf.h
  80. include/cascade/target/core/common/scanf.h
  81. include/cascade/target/core/proxy/proxy_compiler.h
  82. include/cascade/target/core/proxy/proxy_core.h
  83. include/cascade/target/core/sw/monitor.h
  84. include/cascade/target/core/sw/sw_clock.h
  85. include/cascade/target/core/sw/sw_compiler.h
  86. include/cascade/target/core/sw/sw_led.h
  87. include/cascade/target/core/sw/sw_logic.h
  88. include/cascade/target/core/sw/sw_pad.h
  89. include/cascade/target/core/sw/sw_reset.h
  90. include/cascade/target/core_compiler.h
  91. include/cascade/target/engine.h
  92. include/cascade/target/input.h
  93. include/cascade/target/interface.h
  94. include/cascade/target/state.h
  95. include/cascade/verilog/analyze/constant.h
  96. include/cascade/verilog/analyze/evaluate.h
  97. include/cascade/verilog/analyze/indices.h
  98. include/cascade/verilog/analyze/module_info.h
  99. include/cascade/verilog/analyze/navigate.h
  100. include/cascade/verilog/analyze/read_set.h
  101. include/cascade/verilog/analyze/resolve.h
  102. include/cascade/verilog/ast/ast.h
  103. include/cascade/verilog/ast/ast_fwd.h
  104. include/cascade/verilog/ast/types/always_construct.h
  105. include/cascade/verilog/ast/types/arg_assign.h
  106. include/cascade/verilog/ast/types/assign_statement.h
  107. include/cascade/verilog/ast/types/attr_spec.h
  108. include/cascade/verilog/ast/types/attributes.h
  109. include/cascade/verilog/ast/types/binary_expression.h
  110. include/cascade/verilog/ast/types/block_statement.h
  111. include/cascade/verilog/ast/types/blocking_assign.h
  112. include/cascade/verilog/ast/types/case_generate_construct.h
  113. include/cascade/verilog/ast/types/case_generate_item.h
  114. include/cascade/verilog/ast/types/case_item.h
  115. include/cascade/verilog/ast/types/case_statement.h
  116. include/cascade/verilog/ast/types/concatenation.h
  117. include/cascade/verilog/ast/types/conditional_expression.h
  118. include/cascade/verilog/ast/types/conditional_generate_construct.h
  119. include/cascade/verilog/ast/types/conditional_statement.h
  120. include/cascade/verilog/ast/types/construct.h
  121. include/cascade/verilog/ast/types/continuous_assign.h
  122. include/cascade/verilog/ast/types/debug_statement.h
  123. include/cascade/verilog/ast/types/declaration.h
  124. include/cascade/verilog/ast/types/event.h
  125. include/cascade/verilog/ast/types/event_control.h
  126. include/cascade/verilog/ast/types/expression.h
  127. include/cascade/verilog/ast/types/feof_expression.h
  128. include/cascade/verilog/ast/types/fflush_statement.h
  129. include/cascade/verilog/ast/types/finish_statement.h
  130. include/cascade/verilog/ast/types/fopen_expression.h
  131. include/cascade/verilog/ast/types/for_statement.h
  132. include/cascade/verilog/ast/types/fseek_statement.h
  133. include/cascade/verilog/ast/types/generate_block.h
  134. include/cascade/verilog/ast/types/generate_construct.h
  135. include/cascade/verilog/ast/types/generate_region.h
  136. include/cascade/verilog/ast/types/genvar_declaration.h
  137. include/cascade/verilog/ast/types/get_statement.h
  138. include/cascade/verilog/ast/types/id.h
  139. include/cascade/verilog/ast/types/identifier.h
  140. include/cascade/verilog/ast/types/if_generate_clause.h
  141. include/cascade/verilog/ast/types/if_generate_construct.h
  142. include/cascade/verilog/ast/types/initial_construct.h
  143. include/cascade/verilog/ast/types/instantiation.h
  144. include/cascade/verilog/ast/types/localparam_declaration.h
  145. include/cascade/verilog/ast/types/loop_generate_construct.h
  146. include/cascade/verilog/ast/types/loop_statement.h
  147. include/cascade/verilog/ast/types/macro.h
  148. include/cascade/verilog/ast/types/module_declaration.h
  149. include/cascade/verilog/ast/types/module_instantiation.h
  150. include/cascade/verilog/ast/types/module_item.h
  151. include/cascade/verilog/ast/types/multiple_concatenation.h
  152. include/cascade/verilog/ast/types/net_declaration.h
  153. include/cascade/verilog/ast/types/node.h
  154. include/cascade/verilog/ast/types/nonblocking_assign.h
  155. include/cascade/verilog/ast/types/number.h
  156. include/cascade/verilog/ast/types/par_block.h
  157. include/cascade/verilog/ast/types/parameter_declaration.h
  158. include/cascade/verilog/ast/types/port_declaration.h
  159. include/cascade/verilog/ast/types/primary.h
  160. include/cascade/verilog/ast/types/put_statement.h
  161. include/cascade/verilog/ast/types/range_expression.h
  162. include/cascade/verilog/ast/types/reg_declaration.h
  163. include/cascade/verilog/ast/types/repeat_statement.h
  164. include/cascade/verilog/ast/types/restart_statement.h
  165. include/cascade/verilog/ast/types/retarget_statement.h
  166. include/cascade/verilog/ast/types/save_statement.h
  167. include/cascade/verilog/ast/types/scope.h
  168. include/cascade/verilog/ast/types/seq_block.h
  169. include/cascade/verilog/ast/types/statement.h
  170. include/cascade/verilog/ast/types/string.h
  171. include/cascade/verilog/ast/types/system_task_enable_statement.h
  172. include/cascade/verilog/ast/types/timing_control.h
  173. include/cascade/verilog/ast/types/timing_control_statement.h
  174. include/cascade/verilog/ast/types/unary_expression.h
  175. include/cascade/verilog/ast/types/variable_assign.h
  176. include/cascade/verilog/ast/types/while_statement.h
  177. include/cascade/verilog/ast/visitors/builder.h
  178. include/cascade/verilog/ast/visitors/editor.h
  179. include/cascade/verilog/ast/visitors/rewriter.h
  180. include/cascade/verilog/ast/visitors/visitor.h
  181. include/cascade/verilog/build/ast_builder.h
  182. include/cascade/verilog/parse/lexer.h
  183. include/cascade/verilog/parse/parser.h
  184. include/cascade/verilog/print/color.h
  185. include/cascade/verilog/print/print.h
  186. include/cascade/verilog/print/printer.h
  187. include/cascade/verilog/print/term/term_printer.h
  188. include/cascade/verilog/print/text/text_printer.h
  189. include/cascade/verilog/program/elaborate.h
  190. include/cascade/verilog/program/inline.h
  191. include/cascade/verilog/program/program.h
  192. include/cascade/verilog/program/type_check.h
  193. include/cascade/verilog/transform/assign_unpack.h
  194. include/cascade/verilog/transform/block_flatten.h
  195. include/cascade/verilog/transform/constant_prop.h
  196. include/cascade/verilog/transform/control_merge.h
  197. include/cascade/verilog/transform/de_alias.h
  198. include/cascade/verilog/transform/dead_code_eliminate.h
  199. include/cascade/verilog/transform/delete_initial.h
  200. include/cascade/verilog/transform/event_expand.h
  201. include/cascade/verilog/transform/index_normalize.h
  202. include/cascade/verilog/transform/loop_unroll.h
  203. include/cascade_slave.h
  204. lib/libcascade.a
  205. share/cascade/avalon/avalon32_wrapper.v
  206. share/cascade/avalon/avalon64_wrapper.v
  207. share/cascade/de10/DE10_NANO_SOC_GHRD.sdc
  208. share/cascade/de10/DE10_NANO_SoC_GHRD.qpf
  209. share/cascade/de10/DE10_NANO_SoC_GHRD.qsf
  210. share/cascade/de10/DE10_NANO_SoC_GHRD.v
  211. share/cascade/de10/DE10_NANO_SoC_GHRD_assignment_defaults.qdf
  212. share/cascade/de10/assemble_de10.sh
  213. share/cascade/de10/build_de10.sh
  214. share/cascade/de10/generate_hps_qsys_header.sh
  215. share/cascade/de10/hps_common_board_info.xml
  216. share/cascade/de10/hps_sdram_p0_summary.csv
  217. share/cascade/de10/ip/altsource_probe/hps_reset.qip
  218. share/cascade/de10/ip/altsource_probe/hps_reset.v
  219. share/cascade/de10/ip/altsource_probe/hps_reset_bb.v
  220. share/cascade/de10/program_logic_hw.tcl
  221. share/cascade/de10/soc_system.dtb
  222. share/cascade/de10/soc_system.dts
  223. share/cascade/de10/soc_system.qsys
  224. share/cascade/de10/soc_system_board_info.xml
  225. share/cascade/de10/sof2rbf.cof
  226. share/cascade/de10/software/spl_bsp/Makefile
  227. share/cascade/de10/software/spl_bsp/generated/build.h
  228. share/cascade/de10/software/spl_bsp/generated/iocsr_config_cyclone5.c
  229. share/cascade/de10/software/spl_bsp/generated/iocsr_config_cyclone5.h
  230. share/cascade/de10/software/spl_bsp/generated/pinmux_config.h
  231. share/cascade/de10/software/spl_bsp/generated/pinmux_config_cyclone5.c
  232. share/cascade/de10/software/spl_bsp/generated/pll_config.h
  233. share/cascade/de10/software/spl_bsp/generated/reset_config.h
  234. share/cascade/de10/software/spl_bsp/generated/sdram/sdram_config.h
  235. share/cascade/de10/software/spl_bsp/preloader-mkpimage.bin
  236. share/cascade/de10/software/spl_bsp/preloader.ds
  237. share/cascade/de10/software/spl_bsp/settings.bsp
  238. share/cascade/de10/software/spl_bsp/u-boot.img
  239. share/cascade/de10/software/spl_bsp/uboot.ds
  240. share/cascade/march/de10.v
  241. share/cascade/march/regression/avalon32.v
  242. share/cascade/march/regression/avalon64.v
  243. share/cascade/march/regression/concurrent.v
  244. share/cascade/march/regression/jit.v
  245. share/cascade/march/regression/minimal.v
  246. share/cascade/march/regression/no_inline.v
  247. share/cascade/march/regression/remote.v
  248. share/cascade/march/regression/verilator32.v
  249. share/cascade/march/regression/verilator64.v
  250. share/cascade/march/sw.v
  251. share/cascade/march/ulx3s.v
  252. share/cascade/stdlib/iterator.v
  253. share/cascade/stdlib/memory.v
  254. share/cascade/stdlib/stdlib.v
  255. share/cascade/ulx3s/build_ulx3s_32.sh
  256. share/cascade/ulx3s/harness.v
  257. share/cascade/ulx3s/root32.v
  258. share/cascade/ulx3s/uart.v
  259. share/cascade/ulx3s/uart_rx.v
  260. share/cascade/ulx3s/uart_tx.v
  261. share/cascade/ulx3s/ulx3s_v20.lpf
  262. share/cascade/verilator/build_verilator_32.sh
  263. share/cascade/verilator/build_verilator_64.sh
  264. share/cascade/verilator/fake_main.cpp
  265. share/cascade/verilator/harness_32.cpp
  266. share/cascade/verilator/harness_64.cpp
  267. @owner
  268. @group
  269. @mode
Collapse this list.
Dependency lines:
  • cascade-compiler>0:cad/cascade-compiler
Conflicts:
CONFLICTS_INSTALL:
  • cascade
Conflicts Matches:
There are no Conflicts Matches for this port. This is usually an error.
To install the port:
cd /usr/ports/cad/cascade-compiler/ && make install clean
To add the package, run one of these commands:
  • pkg install cad/cascade-compiler
  • pkg install cascade-compiler
NOTE: If this package has multiple flavors (see below), then use one of them instead of the name specified above.
PKGNAME: cascade-compiler
Flavors: there is no flavor information for this port.
distinfo:
TIMESTAMP = 1578272762 SHA256 (vmware-cascade-g20200105.1-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde_GH0.tar.gz) = b76107bebbe2c9f8737a652ff4e3c8ebf3fcbf13f672b34aa65c9899e7ab8442 SIZE (vmware-cascade-g20200105.1-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde_GH0.tar.gz) = 12262109

Packages (timestamps in pop-ups are UTC):
cascade-compiler
ABIaarch64amd64armv6armv7i386powerpcpowerpc64powerpc64le
FreeBSD:13:latestg20200105.1g20200105.1------
FreeBSD:13:quarterlyg20200105.1g20200105.1------
FreeBSD:14:latestg20200105.1g20200105.1------
FreeBSD:14:quarterlyg20200105.1g20200105.1------
FreeBSD:15:latestg20200105.1g20200105.1n/a-n/a---
FreeBSD:15:quarterly--n/a-n/a---
Dependencies
NOTE: FreshPorts displays only information on required and default dependencies. Optional dependencies are not covered.
Build dependencies:
  1. flex>0 : textproc/flex
  2. bison : devel/bison
  3. cmake : devel/cmake-core
  4. ninja : devel/ninja
  5. perl5>=5.32.r0<5.33 : lang/perl5.32
Runtime dependencies:
  1. verilator : cad/verilator
  2. perl5>=5.32.r0<5.33 : lang/perl5.32
There are no ports dependent upon this port

Configuration Options:
No options to configure
Options name:
cad_cascade-compiler
USES:
bison cmake compiler:c++17-lang localbase:ldflags ncurses perl5
FreshPorts was unable to extract/find any pkg message
Master Sites:
Expand this list (1 items)
Collapse this list.
  1. https://codeload.github.com/vmware/cascade/tar.gz/f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde?dummy=/
Collapse this list.

There are no commits on branch 2022Q3 for this port