notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

Finally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combination for a given watch list. This is what FreshPorts will look for.

non port: cad/p5-Verilog-Perl/distinfo

Number of commits found: 13

Thursday, 23 Dec 2021
10:33 Hiroki Tagato (tagattie) search for other commits by this committer Author: Gian-Simon Purkert
cad/p5-Verilog-Perl: update to 3.478

Changelog: https://metacpan.org/dist/Verilog-Perl/changes

PR:		259336
Approved by:	otacilio.neto@bsd.com.br (maintainer timeout, >2 months)
commit hash: 3787726b800112153d6076e57ee1b11da84d9167 commit hash: 3787726b800112153d6076e57ee1b11da84d9167 commit hash: 3787726b800112153d6076e57ee1b11da84d9167 commit hash: 3787726b800112153d6076e57ee1b11da84d9167 3787726
Wednesday, 10 Feb 2016
19:34 pi search for other commits by this committer
cad/p5-Verilog-Perl: 3.404 -> 3.418

Changes:
  http://cpansearch.perl.org/src/WSNYDER/Verilog-Perl-3.418/Changes
  Removed dependency of gcc. Now, p5-Verilog-Perl compiles with clang.

PR:		207050
Submitted by:	otacilio.neto@ee.ufcg.edu.br (maintainer)
Original commitRevision:408645 
Friday, 27 Jun 2014
18:59 garga search for other commits by this committer
- Update to 3.404
- Fix build, it needs gcc

PR:		191368
Submitted by:	otaciliodearaujo@gmail.com (maintainer)
Original commitRevision:359597 
Friday, 3 May 2013
21:42 rakuco search for other commits by this committer
Update to 3.400.

PR:		ports/177726
Submitted by:	Otacilio <otacilio.neto@ee.ufcg.edu.br> (maintainer)
Original commitRevision:317268 
Monday, 27 Aug 2012
21:49 swills search for other commits by this committer
- Update to 3.316

PR:		ports/171063
Approved by:	otacilio.neto@ee.ufcg.edu.br (maintainer)
Original commit
Sunday, 20 Mar 2011
12:54 miwi search for other commits by this committer
- Get Rid MD5 support
Original commit
Friday, 23 Jul 2010
14:33 sylvio search for other commits by this committer
- Update to 3.251

PR:             ports/148726
Submitted by:   Otacilio de Araujo Ramos Neto <otacilio.neto@ee.ufcg.edu.br>
(maintainer)
Original commit
Monday, 18 Jan 2010
00:57 pgollucci search for other commits by this committer
- Update to 3.223

PR:             ports/142626
Submitted by:   myself (pgollucci@)
Approved by:    otacilio.neto@ee.ufcg.edu.br (maintainer)
Original commit
Sunday, 27 Dec 2009
02:02 pgollucci search for other commits by this committer
- Update to 3.222

PR:             ports/141552
Approved by:    maintainer
Submitted by:   myself (pgollucci@)
Original commit
Wednesday, 4 Nov 2009
15:43 miwi search for other commits by this committer
- Update to 3.221

PR:             140231
Submitted by:   Otacílio de Araújo Ramos Neto <otacilio.neto@ee.ufcg.edu.br>
(maintainer)
Original commit
Monday, 24 Aug 2009
06:01 az search for other commits by this committer
Update to 2.213

PR:     ports/138081
Submitted by:   tacilio.net at ee.ufcg.edu.br (maintainer)
Original commit
Wednesday, 15 Jul 2009
00:42 pgollucci search for other commits by this committer
- Update to 2.11

PR:             ports/136485
Submitted by:   otacilio.neto@ee.ufcg.edu.br (maintainer)
Original commit
Tuesday, 26 May 2009
11:01 garga search for other commits by this committer
The Verilog-Perl library is a building point for Verilog support in the Perl
language. It includes:
* Verilog::Getopt which parses command line options similar to C++ and VCS.
* Verilog::Language which knows the language keywords and parses numbers.
* Verilog::Netlist which builds netlists out of Verilog files. This allows
  easy scripts to determine things such as the hierarchy of modules.
* Verilog::Parser invokes callbacks for language tokens.
* Verilog::Preproc preprocesses the language, and allows reading
  post-processed files right from Perl without temporary files.
* vpassert inserts PLIish warnings and assertions for any simulator.
* vppreproc preprocesses the complete Verilog 2001 and SystemVerilog language.
* vrename renames and cross-references Verilog symbols. Vrename creates Verilog
  cross references and makes it easy to rename signal and module names across
  multiple files. Vrename uses a simple and efficient three step process.
  First, you run vrename to create a list of signals in the design. You then
  edit this list, changing as many symbols as you wish. Vrename is then run a
  second time to apply the changes.

WWW:    http://www.veripool.org/wiki/verilog-perl

PR:             ports/134124
Submitted by:   Otacílio de Araújo Ramos Neto <otacilio.neto at
ee.ufcg.edu.br>
Original commit

Number of commits found: 13