notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

Finally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combination for a given watch list. This is what FreshPorts will look for.

Port details on branch 2022Q3
verilator Synthesizable Verilog to C++ compiler
5.024 cad on this many watch lists=0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 5.022Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2019-01-17 23:27:28
Last Update: 2024-04-06 14:54:51
Commit Hash: a296b01
License: GPLv3
WWW:
https://www.veripool.org/projects/verilator/wiki/Intro
Description:
Verilator is the fastest free Verilog HDL simulator, and beats most commercial simulators. It compiles synthesizable Verilog (not test-bench code!), plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams.
Homepage    cgit ¦ Codeberg ¦ GitHub ¦ GitLab ¦ SVNWeb

Manual pages:
FreshPorts has no man page information for this port.
pkg-plist: as obtained via: make generate-plist
Expand this list (123 items)
Collapse this list.
  1. /usr/local/share/licenses/verilator-5.024/catalog.mk
  2. /usr/local/share/licenses/verilator-5.024/LICENSE
  3. /usr/local/share/licenses/verilator-5.024/GPLv3
  4. bin/verilator
  5. bin/verilator_bin
  6. @comment bin/verilator_bin_dbg
  7. bin/verilator_coverage
  8. @comment bin/verilator_coverage_bin_dbg
  9. bin/verilator_gantt
  10. bin/verilator_profcfunc
  11. libdata/pkgconfig/verilator.pc
  12. share/man/man1/verilator.1.gz
  13. share/man/man1/verilator_coverage.1.gz
  14. share/man/man1/verilator_gantt.1.gz
  15. share/man/man1/verilator_profcfunc.1.gz
  16. share/verilator/bin/verilator
  17. share/verilator/bin/verilator_bin
  18. @comment share/verilator/bin/verilator_bin_dbg
  19. share/verilator/bin/verilator_ccache_report
  20. share/verilator/bin/verilator_coverage
  21. @comment share/verilator/bin/verilator_coverage_bin_dbg
  22. share/verilator/bin/verilator_gantt
  23. share/verilator/bin/verilator_includer
  24. share/verilator/bin/verilator_profcfunc
  25. share/verilator/examples/cmake_hello_c/CMakeLists.txt
  26. share/verilator/examples/cmake_hello_c/Makefile
  27. share/verilator/examples/cmake_hello_sc/CMakeLists.txt
  28. share/verilator/examples/cmake_hello_sc/Makefile
  29. share/verilator/examples/cmake_protect_lib/CMakeLists.txt
  30. share/verilator/examples/cmake_protect_lib/Makefile
  31. share/verilator/examples/cmake_tracing_c/CMakeLists.txt
  32. share/verilator/examples/cmake_tracing_c/Makefile
  33. share/verilator/examples/cmake_tracing_sc/CMakeLists.txt
  34. share/verilator/examples/cmake_tracing_sc/Makefile
  35. share/verilator/examples/json_py/Makefile
  36. share/verilator/examples/json_py/sub.v
  37. share/verilator/examples/json_py/top.v
  38. share/verilator/examples/json_py/vl_file_copy
  39. share/verilator/examples/json_py/vl_hier_graph
  40. share/verilator/examples/make_hello_binary/Makefile
  41. share/verilator/examples/make_hello_binary/top.v
  42. share/verilator/examples/make_hello_c/Makefile
  43. share/verilator/examples/make_hello_c/sim_main.cpp
  44. share/verilator/examples/make_hello_c/top.v
  45. share/verilator/examples/make_hello_sc/Makefile
  46. share/verilator/examples/make_hello_sc/sc_main.cpp
  47. share/verilator/examples/make_hello_sc/top.v
  48. share/verilator/examples/make_protect_lib/Makefile
  49. share/verilator/examples/make_protect_lib/secret_impl.v
  50. share/verilator/examples/make_protect_lib/sim_main.cpp
  51. share/verilator/examples/make_protect_lib/top.v
  52. share/verilator/examples/make_tracing_c/Makefile
  53. share/verilator/examples/make_tracing_c/Makefile_obj
  54. share/verilator/examples/make_tracing_c/input.vc
  55. share/verilator/examples/make_tracing_c/sim_main.cpp
  56. share/verilator/examples/make_tracing_c/sub.v
  57. share/verilator/examples/make_tracing_c/top.v
  58. share/verilator/examples/make_tracing_sc/Makefile
  59. share/verilator/examples/make_tracing_sc/Makefile_obj
  60. share/verilator/examples/make_tracing_sc/input.vc
  61. share/verilator/examples/make_tracing_sc/sc_main.cpp
  62. share/verilator/examples/make_tracing_sc/sub.v
  63. share/verilator/examples/make_tracing_sc/top.v
  64. share/verilator/include/gtkwave/fastlz.c
  65. share/verilator/include/gtkwave/fastlz.h
  66. share/verilator/include/gtkwave/fst_config.h
  67. share/verilator/include/gtkwave/fst_win_unistd.h
  68. share/verilator/include/gtkwave/fstapi.c
  69. share/verilator/include/gtkwave/fstapi.h
  70. share/verilator/include/gtkwave/lz4.c
  71. share/verilator/include/gtkwave/lz4.h
  72. share/verilator/include/gtkwave/wavealloca.h
  73. share/verilator/include/verilated.cpp
  74. share/verilator/include/verilated.h
  75. share/verilator/include/verilated.mk
  76. share/verilator/include/verilated.v
  77. share/verilator/include/verilated_config.h
  78. share/verilator/include/verilated_config.h.in
  79. share/verilator/include/verilated_cov.cpp
  80. share/verilator/include/verilated_cov.h
  81. share/verilator/include/verilated_cov_key.h
  82. share/verilator/include/verilated_dpi.cpp
  83. share/verilator/include/verilated_dpi.h
  84. share/verilator/include/verilated_fst_c.cpp
  85. share/verilator/include/verilated_fst_c.h
  86. share/verilator/include/verilated_fst_sc.cpp
  87. share/verilator/include/verilated_fst_sc.h
  88. share/verilator/include/verilated_funcs.h
  89. share/verilator/include/verilated_imp.h
  90. share/verilator/include/verilated_intrinsics.h
  91. share/verilator/include/verilated_probdist.cpp
  92. share/verilator/include/verilated_profiler.cpp
  93. share/verilator/include/verilated_profiler.h
  94. share/verilator/include/verilated_save.cpp
  95. share/verilator/include/verilated_save.h
  96. share/verilator/include/verilated_sc.h
  97. share/verilator/include/verilated_sc_trace.h
  98. share/verilator/include/verilated_std.sv
  99. share/verilator/include/verilated_sym_props.h
  100. share/verilator/include/verilated_syms.h
  101. share/verilator/include/verilated_threads.cpp
  102. share/verilator/include/verilated_threads.h
  103. share/verilator/include/verilated_timing.cpp
  104. share/verilator/include/verilated_timing.h
  105. share/verilator/include/verilated_trace.h
  106. share/verilator/include/verilated_trace_imp.h
  107. share/verilator/include/verilated_types.h
  108. share/verilator/include/verilated_vcd_c.cpp
  109. share/verilator/include/verilated_vcd_c.h
  110. share/verilator/include/verilated_vcd_sc.cpp
  111. share/verilator/include/verilated_vcd_sc.h
  112. share/verilator/include/verilated_vpi.cpp
  113. share/verilator/include/verilated_vpi.h
  114. share/verilator/include/verilatedos.h
  115. share/verilator/include/verilatedos_c.h
  116. share/verilator/include/vltstd/sv_vpi_user.h
  117. share/verilator/include/vltstd/svdpi.h
  118. share/verilator/include/vltstd/vpi_user.h
  119. share/verilator/verilator-config-version.cmake
  120. share/verilator/verilator-config.cmake
  121. @owner
  122. @group
  123. @mode
Collapse this list.
Dependency lines:
  • verilator>0:cad/verilator
To install the port:
cd /usr/ports/cad/verilator/ && make install clean
To add the package, run one of these commands:
  • pkg install cad/verilator
  • pkg install verilator
NOTE: If this package has multiple flavors (see below), then use one of them instead of the name specified above.
PKGNAME: verilator
Flavors: there is no flavor information for this port.
distinfo:
TIMESTAMP = 1712381917 SHA256 (verilator-verilator-v5.024_GH0.tar.gz) = 88b04c953e7165c670d6a700f202cef99c746a0867b4e2efe1d7ea789dee35f3 SIZE (verilator-verilator-v5.024_GH0.tar.gz) = 3879481

Packages (timestamps in pop-ups are UTC):
verilator
ABIaarch64amd64armv6armv7i386powerpcpowerpc64powerpc64le
FreeBSD:13:latest5.0245.024------
FreeBSD:13:quarterly5.0245.024------
FreeBSD:14:latest5.0245.024------
FreeBSD:14:quarterly5.0205.024------
FreeBSD:15:latest5.0225.024n/a-n/a---
FreeBSD:15:quarterly--n/a-n/a---
Dependencies
NOTE: FreshPorts displays only information on required and default dependencies. Optional dependencies are not covered.
Build dependencies:
  1. autoconf>0 : devel/autoconf
  2. bash : shells/bash
  3. ar : devel/binutils
  4. help2man : misc/help2man
  5. bison : devel/bison
  6. gmake>=4.4.1 : devel/gmake
  7. python3.9 : lang/python39
  8. perl5>=5.36<5.37 : lang/perl5.36
Test dependencies:
  1. python3.9 : lang/python39
Runtime dependencies:
  1. ar : devel/binutils
  2. gmake : devel/gmake
  3. python3.9 : lang/python39
  4. perl5>=5.36<5.37 : lang/perl5.36
Library dependencies:
  1. libsystemc.so : devel/systemc
This port is required by:
for Run
  1. cad/cascade-compiler
  2. cad/py-cocotb

Configuration Options:
===> The following configuration options are available for verilator-5.024: INSTALL_DBG_EXECUTABLES=off: Install *_dbg executables LEAK_CHECKS=off: Disable intentional memory leaks ===> Use 'make config' to modify these settings
Options name:
cad_verilator
USES:
bison compiler:c++17-lang gmake localbase:ldflags pathfix perl5 python:build,run,test shebangfix tar:tgz
FreshPorts was unable to extract/find any pkg message
Master Sites:
Expand this list (1 items)
Collapse this list.
  1. https://codeload.github.com/verilator/verilator/tar.gz/v5.024?dummy=/
Collapse this list.

There are no commits on branch 2022Q3 for this port