notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

FInally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combinatio for a given watch list. This is what FreshPorts will look for.

Port details
yosys Yosys Open SYnthesis Suite
0.39 cad on this many watch lists=0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.36Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-01-04 18:47:36
Last Update: 2024-03-13 06:17:06
Commit Hash: b55e0d1
License: ISCL
WWW:
https://yosyshq.net/yosys/
Description:
Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains.
Homepage    cgit ¦ Codeberg ¦ GitHub ¦ GitLab ¦ SVNWeb

Manual pages:
FreshPorts has no man page information for this port.
pkg-plist: as obtained via: make generate-plist
Expand this list (287 items)
Collapse this list.
  1. /usr/local/share/licenses/yosys-0.39/catalog.mk
  2. /usr/local/share/licenses/yosys-0.39/LICENSE
  3. /usr/local/share/licenses/yosys-0.39/ISCL
  4. bin/yosys
  5. bin/yosys-config
  6. bin/yosys-filterlib
  7. bin/yosys-smtbmc
  8. bin/yosys-witness
  9. share/yosys/abc9_map.v
  10. share/yosys/abc9_model.v
  11. share/yosys/abc9_unmap.v
  12. share/yosys/achronix/speedster22i/cells_map.v
  13. share/yosys/achronix/speedster22i/cells_sim.v
  14. share/yosys/adff2dff.v
  15. share/yosys/anlogic/arith_map.v
  16. share/yosys/anlogic/brams.txt
  17. share/yosys/anlogic/brams_map.v
  18. share/yosys/anlogic/cells_map.v
  19. share/yosys/anlogic/cells_sim.v
  20. share/yosys/anlogic/eagle_bb.v
  21. share/yosys/anlogic/lutrams.txt
  22. share/yosys/anlogic/lutrams_map.v
  23. share/yosys/cells.lib
  24. share/yosys/cmp2lcu.v
  25. share/yosys/cmp2lut.v
  26. share/yosys/cmp2softlogic.v
  27. share/yosys/coolrunner2/cells_counter_map.v
  28. share/yosys/coolrunner2/cells_latch.v
  29. share/yosys/coolrunner2/cells_sim.v
  30. share/yosys/coolrunner2/tff_extract.v
  31. share/yosys/coolrunner2/xc2_dff.lib
  32. share/yosys/dff2ff.v
  33. share/yosys/ecp5/arith_map.v
  34. share/yosys/ecp5/brams.txt
  35. share/yosys/ecp5/brams_map.v
  36. share/yosys/ecp5/cells_bb.v
  37. share/yosys/ecp5/cells_ff.vh
  38. share/yosys/ecp5/cells_io.vh
  39. share/yosys/ecp5/cells_map.v
  40. share/yosys/ecp5/cells_sim.v
  41. share/yosys/ecp5/dsp_map.v
  42. share/yosys/ecp5/latches_map.v
  43. share/yosys/ecp5/lutrams.txt
  44. share/yosys/ecp5/lutrams_map.v
  45. share/yosys/efinix/arith_map.v
  46. share/yosys/efinix/brams.txt
  47. share/yosys/efinix/brams_map.v
  48. share/yosys/efinix/cells_map.v
  49. share/yosys/efinix/cells_sim.v
  50. share/yosys/efinix/gbuf_map.v
  51. share/yosys/fabulous/arith_map.v
  52. share/yosys/fabulous/cells_map.v
  53. share/yosys/fabulous/ff_map.v
  54. share/yosys/fabulous/io_map.v
  55. share/yosys/fabulous/latches_map.v
  56. share/yosys/fabulous/prims.v
  57. share/yosys/fabulous/ram_regfile.txt
  58. share/yosys/fabulous/regfile_map.v
  59. share/yosys/gate2lut.v
  60. share/yosys/gatemate/arith_map.v
  61. share/yosys/gatemate/brams.txt
  62. share/yosys/gatemate/brams_init_20.vh
  63. share/yosys/gatemate/brams_init_40.vh
  64. share/yosys/gatemate/brams_map.v
  65. share/yosys/gatemate/cells_bb.v
  66. share/yosys/gatemate/cells_sim.v
  67. share/yosys/gatemate/inv_map.v
  68. share/yosys/gatemate/lut_map.v
  69. share/yosys/gatemate/lut_tree_cells.genlib
  70. share/yosys/gatemate/lut_tree_map.v
  71. share/yosys/gatemate/mul_map.v
  72. share/yosys/gatemate/mux_map.v
  73. share/yosys/gatemate/reg_map.v
  74. share/yosys/gowin/arith_map.v
  75. share/yosys/gowin/brams.txt
  76. share/yosys/gowin/brams_map.v
  77. share/yosys/gowin/cells_map.v
  78. share/yosys/gowin/cells_sim.v
  79. share/yosys/gowin/cells_xtra.v
  80. share/yosys/gowin/lutrams.txt
  81. share/yosys/gowin/lutrams_map.v
  82. share/yosys/greenpak4/cells_blackbox.v
  83. share/yosys/greenpak4/cells_latch.v
  84. share/yosys/greenpak4/cells_map.v
  85. share/yosys/greenpak4/cells_sim.v
  86. share/yosys/greenpak4/cells_sim_ams.v
  87. share/yosys/greenpak4/cells_sim_digital.v
  88. share/yosys/greenpak4/cells_sim_wip.v
  89. share/yosys/greenpak4/gp_dff.lib
  90. share/yosys/ice40/abc9_model.v
  91. share/yosys/ice40/arith_map.v
  92. share/yosys/ice40/brams.txt
  93. share/yosys/ice40/brams_map.v
  94. share/yosys/ice40/cells_map.v
  95. share/yosys/ice40/cells_sim.v
  96. share/yosys/ice40/dsp_map.v
  97. share/yosys/ice40/ff_map.v
  98. share/yosys/ice40/latches_map.v
  99. share/yosys/ice40/spram.txt
  100. share/yosys/ice40/spram_map.v
  101. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc
  102. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h
  103. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc
  104. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h
  105. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h
  106. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h
  107. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h
  108. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h
  109. share/yosys/include/backends/rtlil/rtlil_backend.h
  110. share/yosys/include/frontends/ast/ast.h
  111. share/yosys/include/frontends/ast/ast_binding.h
  112. share/yosys/include/frontends/blif/blifparse.h
  113. share/yosys/include/kernel/binding.h
  114. share/yosys/include/kernel/cellaigs.h
  115. share/yosys/include/kernel/celledges.h
  116. share/yosys/include/kernel/celltypes.h
  117. share/yosys/include/kernel/consteval.h
  118. share/yosys/include/kernel/constids.inc
  119. share/yosys/include/kernel/cost.h
  120. share/yosys/include/kernel/ff.h
  121. share/yosys/include/kernel/ffinit.h
  122. share/yosys/include/kernel/ffmerge.h
  123. share/yosys/include/kernel/fmt.h
  124. share/yosys/include/kernel/fstdata.h
  125. share/yosys/include/kernel/hashlib.h
  126. share/yosys/include/kernel/json.h
  127. share/yosys/include/kernel/log.h
  128. share/yosys/include/kernel/macc.h
  129. share/yosys/include/kernel/mem.h
  130. share/yosys/include/kernel/modtools.h
  131. share/yosys/include/kernel/qcsat.h
  132. share/yosys/include/kernel/register.h
  133. share/yosys/include/kernel/rtlil.h
  134. share/yosys/include/kernel/satgen.h
  135. share/yosys/include/kernel/scopeinfo.h
  136. share/yosys/include/kernel/sigtools.h
  137. share/yosys/include/kernel/timinginfo.h
  138. share/yosys/include/kernel/utils.h
  139. share/yosys/include/kernel/yosys.h
  140. share/yosys/include/kernel/yw.h
  141. share/yosys/include/libs/ezsat/ezminisat.h
  142. share/yosys/include/libs/ezsat/ezsat.h
  143. share/yosys/include/libs/fst/fstapi.h
  144. share/yosys/include/libs/json11/json11.hpp
  145. share/yosys/include/libs/sha1/sha1.h
  146. share/yosys/include/passes/fsm/fsmdata.h
  147. share/yosys/intel/common/altpll_bb.v
  148. share/yosys/intel/common/brams_m9k.txt
  149. share/yosys/intel/common/brams_map_m9k.v
  150. share/yosys/intel/common/ff_map.v
  151. share/yosys/intel/common/m9k_bb.v
  152. share/yosys/intel/cyclone10lp/cells_map.v
  153. share/yosys/intel/cyclone10lp/cells_sim.v
  154. share/yosys/intel/cycloneiv/cells_map.v
  155. share/yosys/intel/cycloneiv/cells_sim.v
  156. share/yosys/intel/cycloneive/cells_map.v
  157. share/yosys/intel/cycloneive/cells_sim.v
  158. share/yosys/intel/max10/cells_map.v
  159. share/yosys/intel/max10/cells_sim.v
  160. share/yosys/intel_alm/common/abc9_map.v
  161. share/yosys/intel_alm/common/abc9_model.v
  162. share/yosys/intel_alm/common/abc9_unmap.v
  163. share/yosys/intel_alm/common/alm_map.v
  164. share/yosys/intel_alm/common/alm_sim.v
  165. share/yosys/intel_alm/common/arith_alm_map.v
  166. share/yosys/intel_alm/common/bram_m10k.txt
  167. share/yosys/intel_alm/common/bram_m10k_map.v
  168. share/yosys/intel_alm/common/bram_m20k.txt
  169. share/yosys/intel_alm/common/bram_m20k_map.v
  170. share/yosys/intel_alm/common/dff_map.v
  171. share/yosys/intel_alm/common/dff_sim.v
  172. share/yosys/intel_alm/common/dsp_map.v
  173. share/yosys/intel_alm/common/dsp_sim.v
  174. share/yosys/intel_alm/common/lutram_mlab.txt
  175. share/yosys/intel_alm/common/megafunction_bb.v
  176. share/yosys/intel_alm/common/mem_sim.v
  177. share/yosys/intel_alm/common/misc_sim.v
  178. share/yosys/intel_alm/common/quartus_rename.v
  179. share/yosys/intel_alm/cyclonev/cells_sim.v
  180. share/yosys/lattice/arith_map_ccu2c.v
  181. share/yosys/lattice/arith_map_ccu2d.v
  182. share/yosys/lattice/brams_16kd.txt
  183. share/yosys/lattice/brams_8kc.txt
  184. share/yosys/lattice/brams_map_16kd.v
  185. share/yosys/lattice/brams_map_8kc.v
  186. share/yosys/lattice/ccu2c_sim.vh
  187. share/yosys/lattice/ccu2d_sim.vh
  188. share/yosys/lattice/cells_bb_ecp5.v
  189. share/yosys/lattice/cells_bb_xo2.v
  190. share/yosys/lattice/cells_bb_xo3.v
  191. share/yosys/lattice/cells_bb_xo3d.v
  192. share/yosys/lattice/cells_ff.vh
  193. share/yosys/lattice/cells_io.vh
  194. share/yosys/lattice/cells_map.v
  195. share/yosys/lattice/cells_sim_ecp5.v
  196. share/yosys/lattice/cells_sim_xo2.v
  197. share/yosys/lattice/cells_sim_xo3.v
  198. share/yosys/lattice/cells_sim_xo3d.v
  199. share/yosys/lattice/common_sim.vh
  200. share/yosys/lattice/dsp_map_18x18.v
  201. share/yosys/lattice/latches_map.v
  202. share/yosys/lattice/lutrams.txt
  203. share/yosys/lattice/lutrams_map.v
  204. share/yosys/mul2dsp.v
  205. share/yosys/nexus/arith_map.v
  206. share/yosys/nexus/brams.txt
  207. share/yosys/nexus/brams_map.v
  208. share/yosys/nexus/cells_map.v
  209. share/yosys/nexus/cells_sim.v
  210. share/yosys/nexus/cells_xtra.v
  211. share/yosys/nexus/dsp_map.v
  212. share/yosys/nexus/latches_map.v
  213. share/yosys/nexus/lrams.txt
  214. share/yosys/nexus/lrams_map.v
  215. share/yosys/nexus/lutrams.txt
  216. share/yosys/nexus/lutrams_map.v
  217. share/yosys/nexus/parse_init.vh
  218. share/yosys/pmux2mux.v
  219. share/yosys/python3/smtio.py
  220. share/yosys/python3/ywio.py
  221. share/yosys/quicklogic/common/cells_sim.v
  222. share/yosys/quicklogic/pp3/abc9_map.v
  223. share/yosys/quicklogic/pp3/abc9_model.v
  224. share/yosys/quicklogic/pp3/abc9_unmap.v
  225. share/yosys/quicklogic/pp3/cells_map.v
  226. share/yosys/quicklogic/pp3/cells_sim.v
  227. share/yosys/quicklogic/pp3/ffs_map.v
  228. share/yosys/quicklogic/pp3/latches_map.v
  229. share/yosys/quicklogic/pp3/lut_map.v
  230. share/yosys/quicklogic/qlf_k6n10f/TDP18K_FIFO.v
  231. share/yosys/quicklogic/qlf_k6n10f/arith_map.v
  232. share/yosys/quicklogic/qlf_k6n10f/bram_types_sim.v
  233. share/yosys/quicklogic/qlf_k6n10f/brams_map.v
  234. share/yosys/quicklogic/qlf_k6n10f/brams_sim.v
  235. share/yosys/quicklogic/qlf_k6n10f/cells_sim.v
  236. share/yosys/quicklogic/qlf_k6n10f/dsp_final_map.v
  237. share/yosys/quicklogic/qlf_k6n10f/dsp_map.v
  238. share/yosys/quicklogic/qlf_k6n10f/dsp_sim.v
  239. share/yosys/quicklogic/qlf_k6n10f/ffs_map.v
  240. share/yosys/quicklogic/qlf_k6n10f/libmap_brams.txt
  241. share/yosys/quicklogic/qlf_k6n10f/libmap_brams_map.v
  242. share/yosys/quicklogic/qlf_k6n10f/sram1024x18_mem.v
  243. share/yosys/quicklogic/qlf_k6n10f/ufifo_ctl.v
  244. share/yosys/sf2/arith_map.v
  245. share/yosys/sf2/cells_map.v
  246. share/yosys/sf2/cells_sim.v
  247. share/yosys/simcells.v
  248. share/yosys/simlib.v
  249. share/yosys/smtmap.v
  250. share/yosys/techmap.v
  251. share/yosys/xilinx/abc9_model.v
  252. share/yosys/xilinx/arith_map.v
  253. share/yosys/xilinx/brams_defs.vh
  254. share/yosys/xilinx/brams_xc2v.txt
  255. share/yosys/xilinx/brams_xc2v_map.v
  256. share/yosys/xilinx/brams_xc3sda.txt
  257. share/yosys/xilinx/brams_xc3sda_map.v
  258. share/yosys/xilinx/brams_xc4v.txt
  259. share/yosys/xilinx/brams_xc4v_map.v
  260. share/yosys/xilinx/brams_xc5v_map.v
  261. share/yosys/xilinx/brams_xc6v_map.v
  262. share/yosys/xilinx/brams_xcu_map.v
  263. share/yosys/xilinx/brams_xcv.txt
  264. share/yosys/xilinx/brams_xcv_map.v
  265. share/yosys/xilinx/cells_map.v
  266. share/yosys/xilinx/cells_sim.v
  267. share/yosys/xilinx/cells_xtra.v
  268. share/yosys/xilinx/ff_map.v
  269. share/yosys/xilinx/lut_map.v
  270. share/yosys/xilinx/lutrams_xc5v.txt
  271. share/yosys/xilinx/lutrams_xc5v_map.v
  272. share/yosys/xilinx/lutrams_xcu.txt
  273. share/yosys/xilinx/lutrams_xcv.txt
  274. share/yosys/xilinx/lutrams_xcv_map.v
  275. share/yosys/xilinx/mux_map.v
  276. share/yosys/xilinx/urams.txt
  277. share/yosys/xilinx/urams_map.v
  278. share/yosys/xilinx/xc3s_mult_map.v
  279. share/yosys/xilinx/xc3sda_dsp_map.v
  280. share/yosys/xilinx/xc4v_dsp_map.v
  281. share/yosys/xilinx/xc5v_dsp_map.v
  282. share/yosys/xilinx/xc6s_dsp_map.v
  283. share/yosys/xilinx/xc7_dsp_map.v
  284. share/yosys/xilinx/xcu_dsp_map.v
  285. @owner
  286. @group
  287. @mode
Collapse this list.
Dependency lines:
  • yosys>0:cad/yosys
To install the port:
cd /usr/ports/cad/yosys/ && make install clean
To add the package, run one of these commands:
  • pkg install cad/yosys
  • pkg install yosys
NOTE: If this package has multiple flavors (see below), then use one of them instead of the name specified above.
PKGNAME: yosys
Flavors: there is no flavor information for this port.
distinfo:
TIMESTAMP = 1710303344 SHA256 (YosysHQ-yosys-yosys-0.39_GH0.tar.gz) = a66d95747b21d03e5b9c274d3f7cb0f7dd99610891dd66920bfaee25bc30dad1 SIZE (YosysHQ-yosys-yosys-0.39_GH0.tar.gz) = 2726688

Packages (timestamps in pop-ups are UTC):
yosys
ABIaarch64amd64armv6armv7i386powerpcpowerpc64powerpc64le
FreeBSD:13:latest0.380.390.9_1-0.39-0.9_1-
FreeBSD:13:quarterly0.360.36--0.36-0.36-
FreeBSD:14:latest0.380.38--0.38---
FreeBSD:14:quarterly0.360.36--0.36-0.36-
FreeBSD:15:latest0.380.38n/a-n/a-0.37-
FreeBSD:15:quarterly--n/a-n/a---
Dependencies
NOTE: FreshPorts displays only information on required and default dependencies. Optional dependencies are not covered.
Build dependencies:
  1. abc : cad/abc
  2. bash : shells/bash
  3. gawk : lang/gawk
  4. bison : devel/bison
  5. gmake>=4.4.1 : devel/gmake
  6. pkgconf>=1.3.0_1 : devel/pkgconf
  7. python3.9 : lang/python39
Test dependencies:
  1. iverilog : cad/iverilog
  2. python3.9 : lang/python39
Runtime dependencies:
  1. xdot : x11/py-xdot@py39
  2. bash : shells/bash
  3. yices_smt2 : math/yices
  4. python3.9 : lang/python39
Library dependencies:
  1. libffi.so : devel/libffi
  2. libtcmalloc.so : devel/google-perftools
  3. libreadline.so.8 : devel/readline
  4. libtcl86.so : lang/tcl86
This port is required by:
for Build
  1. cad/qflow
  2. cad/yosys-ghdl-plugin
  3. cad/yosys-systemverilog
  4. devel/lattice-ice40-examples-hx1k
  5. devel/lattice-ice40-examples-hx8k
for Run
  1. cad/py-edalize
  2. cad/qflow
  3. cad/symbiyosys
  4. cad/yosys-ghdl-plugin
  5. cad/yosys-systemverilog
  6. devel/lattice-ice40-tools

Configuration Options:
===> The following configuration options are available for yosys-0.39: TCMALLOC=on: Use the tcmalloc memory allocation library ====> Install SAT solvers CVC5=off: CVC SAT Solver YICES=on: Yices SAT Solver Z3=off: Z3 SAT Solver ===> Use 'make config' to modify these settings
Options name:
cad_yosys
USES:
bison compiler:c++11-lang gmake pkgconfig python readline shebangfix tcl
FreshPorts was unable to extract/find any pkg message
Master Sites:
Expand this list (1 items)
Collapse this list.
  1. https://codeload.github.com/YosysHQ/yosys/tar.gz/yosys-0.39?dummy=/
Collapse this list.

Number of commits found: 41

Commit History - (may be incomplete: for full details, see links to repositories near top of page)
CommitCreditsLog message
0.39
13 Mar 2024 06:17:06
commit hash: b55e0d1781a922e18fd008586a90aaabd54995c0commit hash: b55e0d1781a922e18fd008586a90aaabd54995c0commit hash: b55e0d1781a922e18fd008586a90aaabd54995c0commit hash: b55e0d1781a922e18fd008586a90aaabd54995c0 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: update 0.38 → 0.39

Reported by:	portscout
0.38
13 Feb 2024 09:11:10
commit hash: dff0f77b2cfe6d2c227e96a07dfa4bd1f09fbb29commit hash: dff0f77b2cfe6d2c227e96a07dfa4bd1f09fbb29commit hash: dff0f77b2cfe6d2c227e96a07dfa4bd1f09fbb29commit hash: dff0f77b2cfe6d2c227e96a07dfa4bd1f09fbb29 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: update 0.37 → 0.38

Reported by:	portscout
0.37
18 Jan 2024 05:21:47
commit hash: 2542d84d06ebaf2a028cb10cc30135c78a6e2a30commit hash: 2542d84d06ebaf2a028cb10cc30135c78a6e2a30commit hash: 2542d84d06ebaf2a028cb10cc30135c78a6e2a30commit hash: 2542d84d06ebaf2a028cb10cc30135c78a6e2a30 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: update 0.36 → 0.37

Reported by:	portscout
0.36
06 Dec 2023 08:34:58
commit hash: 124e43ea7329ab39300bfe54dae4129b5bbb6071commit hash: 124e43ea7329ab39300bfe54dae4129b5bbb6071commit hash: 124e43ea7329ab39300bfe54dae4129b5bbb6071commit hash: 124e43ea7329ab39300bfe54dae4129b5bbb6071 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: update 0.35 → 0.36

Reported by:	portscout
0.35
08 Nov 2023 08:50:08
commit hash: 8df5ef18e2efc2f22d0ce793c51df7d2ed03182fcommit hash: 8df5ef18e2efc2f22d0ce793c51df7d2ed03182fcommit hash: 8df5ef18e2efc2f22d0ce793c51df7d2ed03182fcommit hash: 8df5ef18e2efc2f22d0ce793c51df7d2ed03182f files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: update 0.34 → 0.35

Reported by:	portscout
0.34_1
07 Oct 2023 05:08:17
commit hash: 9e048d5b3daccb7d42bda715b0bc7898896539facommit hash: 9e048d5b3daccb7d42bda715b0bc7898896539facommit hash: 9e048d5b3daccb7d42bda715b0bc7898896539facommit hash: 9e048d5b3daccb7d42bda715b0bc7898896539fa files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
Author: Nico Sonack
cad/yosys: Move bash to RUN_DEPENDS

PR:		274241
0.34
06 Oct 2023 10:09:09
commit hash: 9f5992ad4c6769ffee3aae47e0be34baf36b9428commit hash: 9f5992ad4c6769ffee3aae47e0be34baf36b9428commit hash: 9f5992ad4c6769ffee3aae47e0be34baf36b9428commit hash: 9f5992ad4c6769ffee3aae47e0be34baf36b9428 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: update 0.33 → 0.34

Reported by:	portscout
0.33
06 Sep 2023 05:23:29
commit hash: 3a848be8b206469c704ed2df024553db5c3fcd17commit hash: 3a848be8b206469c704ed2df024553db5c3fcd17commit hash: 3a848be8b206469c704ed2df024553db5c3fcd17commit hash: 3a848be8b206469c704ed2df024553db5c3fcd17 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: update 0.32 → 0.33

Reported by:	portscout
0.32
08 Aug 2023 08:54:39
commit hash: d6283dc2ac0a136a4620351f787f517bcb7ec05bcommit hash: d6283dc2ac0a136a4620351f787f517bcb7ec05bcommit hash: d6283dc2ac0a136a4620351f787f517bcb7ec05bcommit hash: d6283dc2ac0a136a4620351f787f517bcb7ec05b files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.31 → 0.32

Reported by:	portscout
0.31
19 Jul 2023 08:17:39
commit hash: 58ae23d0bb6773232a9f0815889f426033b22cdbcommit hash: 58ae23d0bb6773232a9f0815889f426033b22cdbcommit hash: 58ae23d0bb6773232a9f0815889f426033b22cdbcommit hash: 58ae23d0bb6773232a9f0815889f426033b22cdb files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.30 → 0.31

Reported by:	portscout
0.30
27 Jun 2023 19:34:34
commit hash: 3d9a815d9c5acbb71f4bb07738bdeab4879feacbcommit hash: 3d9a815d9c5acbb71f4bb07738bdeab4879feacbcommit hash: 3d9a815d9c5acbb71f4bb07738bdeab4879feacbcommit hash: 3d9a815d9c5acbb71f4bb07738bdeab4879feacb files touched by this commit
Rene Ladan (rene) search for other commits by this committer
all: remove explicit versions in USES=python for "3.x+"

The logic in USES=python will automatically convert this to 3.8+ by
itself.

Adjust two ports that only had Python 3.7 mentioned but build fine
on Python 3.8 too.

finance/quickfix: mark BROKEN with PYTHON

libtool: compile:  c++ -DHAVE_CONFIG_H -I. -I../.. -I -I. -I.. -I../.. -I../C++
-DLIBICONV_PLUG -DPYTHON_MAJOR_VERSION=3 -Wno-unused-variable
-Wno-maybe-uninitialized -O2 -pipe -DLIBICONV_PLUG -fstack-protector-strong
-fno-strict-aliasing -DLIBICONV_PLUG -Wall -ansi
-Wno-unused-command-line-argument -Wpointer-arith -Wwrite-strings
-Wno-overloaded-virtual -Wno-deprecated-declarations -Wno-deprecated -std=c++0x
-MT _quickfix_la-QuickfixPython.lo -MD -MP -MF
.deps/_quickfix_la-QuickfixPython.Tpo -c QuickfixPython.cpp  -fPIC -DPIC -o
.libs/_quickfix_la-QuickfixPython.o
warning: unknown warning option '-Wno-maybe-uninitialized'; did you mean
'-Wno-uninitialized'? [-Wunknown-warning-option]
QuickfixPython.cpp:175:11: fatal error: 'Python.h' file not found
          ^~~~~~~~~~
1 warning and 1 error generated.

Reviewed by:	portmgr, vishwin, yuri
Differential Revision:	<https://reviews.freebsd.org/D40568>
0.30
06 Jun 2023 21:10:57
commit hash: fcffbcbce70a1f7fe254f6d11ca3291c5ffef35bcommit hash: fcffbcbce70a1f7fe254f6d11ca3291c5ffef35bcommit hash: fcffbcbce70a1f7fe254f6d11ca3291c5ffef35bcommit hash: fcffbcbce70a1f7fe254f6d11ca3291c5ffef35b files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.29 → 0.30; Add TCMALLOC option
0.29
10 May 2023 14:33:02
commit hash: c7a92b739cea0f65b19445a249c16e2aeb196bbecommit hash: c7a92b739cea0f65b19445a249c16e2aeb196bbecommit hash: c7a92b739cea0f65b19445a249c16e2aeb196bbecommit hash: c7a92b739cea0f65b19445a249c16e2aeb196bbe files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.28 → 0.29

Reported by:	portscout
0.28_1
23 Apr 2023 09:09:58
commit hash: 8d3e020ed032a8db00208994d0db646de7dc6f5bcommit hash: 8d3e020ed032a8db00208994d0db646de7dc6f5bcommit hash: 8d3e020ed032a8db00208994d0db646de7dc6f5bcommit hash: 8d3e020ed032a8db00208994d0db646de7dc6f5b files touched by this commit
Gerald Pfeifer (gerald) search for other commits by this committer
*: Bump PORTREVISIONs for math/mpc update to 1.3.1
0.28
16 Apr 2023 08:28:35
commit hash: c91d148f8b77d41aaf3c02a26592abb53949b754commit hash: c91d148f8b77d41aaf3c02a26592abb53949b754commit hash: c91d148f8b77d41aaf3c02a26592abb53949b754commit hash: c91d148f8b77d41aaf3c02a26592abb53949b754 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.27 → 0.28

Reported by:	portscout
0.27
06 Mar 2023 19:21:43
commit hash: dc478684f66360967b04385401989f7f7a42b8fbcommit hash: dc478684f66360967b04385401989f7f7a42b8fbcommit hash: dc478684f66360967b04385401989f7f7a42b8fbcommit hash: dc478684f66360967b04385401989f7f7a42b8fb files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.26 → 0.27

Reported by:	portscout
0.26
10 Feb 2023 09:43:14
commit hash: 56a4e7eaa8482564e0430c1165e36b7bfd2fd675commit hash: 56a4e7eaa8482564e0430c1165e36b7bfd2fd675commit hash: 56a4e7eaa8482564e0430c1165e36b7bfd2fd675commit hash: 56a4e7eaa8482564e0430c1165e36b7bfd2fd675 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.25 → 0.26

Reported by:	portscout
0.25
04 Jan 2023 22:12:01
commit hash: ce9e60d6a133331ea8cbb8de7f48542ee8b85702commit hash: ce9e60d6a133331ea8cbb8de7f48542ee8b85702commit hash: ce9e60d6a133331ea8cbb8de7f48542ee8b85702commit hash: ce9e60d6a133331ea8cbb8de7f48542ee8b85702 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.24 -> 0.25

Reported by:	portscout
0.24
09 Dec 2022 08:47:31
commit hash: a8209dd11b8ff3fd3d6177ea07e63b796281f59dcommit hash: a8209dd11b8ff3fd3d6177ea07e63b796281f59dcommit hash: a8209dd11b8ff3fd3d6177ea07e63b796281f59dcommit hash: a8209dd11b8ff3fd3d6177ea07e63b796281f59d files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.22 -> 0.24

Reported by:	portscout
0.22
09 Oct 2022 19:21:17
commit hash: 6c8b418801d41822d49602546e2b75dbccfc45bbcommit hash: 6c8b418801d41822d49602546e2b75dbccfc45bbcommit hash: 6c8b418801d41822d49602546e2b75dbccfc45bbcommit hash: 6c8b418801d41822d49602546e2b75dbccfc45bb files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Add comment about failing tests

PR:		266917
0.22
07 Oct 2022 18:36:03
commit hash: 4c4f5861dd5186e33b8f820efec105470862a327commit hash: 4c4f5861dd5186e33b8f820efec105470862a327commit hash: 4c4f5861dd5186e33b8f820efec105470862a327commit hash: 4c4f5861dd5186e33b8f820efec105470862a327 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.21 -> 0.22

Reported by:	portscout
07 Sep 2022 21:58:51
commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4 files touched by this commit
Stefan Eßer (se) search for other commits by this committer
Remove WWW entries moved into port Makefiles

Commit b7f05445c00f has added WWW entries to port Makefiles based on
WWW: lines in pkg-descr files.

This commit removes the WWW: lines of moved-over URLs from these
pkg-descr files.

Approved by:		portmgr (tcberner)
0.21
07 Sep 2022 21:10:59
commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52 files touched by this commit
Stefan Eßer (se) search for other commits by this committer
Add WWW entries to port Makefiles

It has been common practice to have one or more URLs at the end of the
ports' pkg-descr files, one per line and prefixed with "WWW:". These
URLs should point at a project website or other relevant resources.

Access to these URLs required processing of the pkg-descr files, and
they have often become stale over time. If more than one such URL was
present in a pkg-descr file, only the first one was tarnsfered into
the port INDEX, but for many ports only the last line did contain the
port specific URL to further information.

There have been several proposals to make a project URL available as
a macro in the ports' Makefiles, over time.
(Only the first 15 lines of the commit message are shown above View all of this commit message)
0.21
07 Sep 2022 06:53:03
commit hash: c82380c3967317012ea85ce75288f183a6e824b1commit hash: c82380c3967317012ea85ce75288f183a6e824b1commit hash: c82380c3967317012ea85ce75288f183a6e824b1commit hash: c82380c3967317012ea85ce75288f183a6e824b1 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.20 -> 0.21

Reported by:	portscout
0.20
04 Aug 2022 07:41:22
commit hash: 5a5c763b944b7fc1901e2c23a2481ad105e3d07acommit hash: 5a5c763b944b7fc1901e2c23a2481ad105e3d07acommit hash: 5a5c763b944b7fc1901e2c23a2481ad105e3d07acommit hash: 5a5c763b944b7fc1901e2c23a2481ad105e3d07a files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.19 -> 0.20

Reported by:	portscout
0.19
20 Jul 2022 14:20:58
commit hash: b46abf8056cc9f1f1f579710126929b99b36f966commit hash: b46abf8056cc9f1f1f579710126929b99b36f966commit hash: b46abf8056cc9f1f1f579710126929b99b36f966commit hash: b46abf8056cc9f1f1f579710126929b99b36f966 files touched by this commit
Tobias C. Berner (tcberner) search for other commits by this committer
cad: remove 'Created by' lines

A big Thank You to the original contributors of these ports:

  *  AMAKAWA Shuhei <amakawa@jp.FreeBSD.org>
  *  Alexey Dokuchaev <danfe@FreeBSD.org>
  *  Anders Andersson <anders@hack.org>
  *  Bruce M Simpson <bms@FreeBSD.org>
  *  Christoph Moench-Tegeder <cmt@FreeBSD.org>
  *  David Yeske <dyeske@gmail.com>
  *  Diane Bruce <db@db.net>
  *  Joachim Strombergson <watchman@ludd.ltu.se>
  *  Johnny Sorocil <jsorocil@gmail.com>
  *  Julian Jenkins <kaveman@magna.com.au>
  *  Marc Fonvieille <blackend@FreeBSD.org>
(Only the first 15 lines of the commit message are shown above View all of this commit message)
0.19
07 Jul 2022 06:31:06
commit hash: f7e48bea9f54bbaa2fe16d2e2f6785e7ae8c237ecommit hash: f7e48bea9f54bbaa2fe16d2e2f6785e7ae8c237ecommit hash: f7e48bea9f54bbaa2fe16d2e2f6785e7ae8c237ecommit hash: f7e48bea9f54bbaa2fe16d2e2f6785e7ae8c237e files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Correct WWW
0.19
05 Jul 2022 04:24:14
commit hash: 259fdc95f3780b55fc62d340e60fc0380f93bb50commit hash: 259fdc95f3780b55fc62d340e60fc0380f93bb50commit hash: 259fdc95f3780b55fc62d340e60fc0380f93bb50commit hash: 259fdc95f3780b55fc62d340e60fc0380f93bb50 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.18 -> 0.19

Reported by:	portscout
0.18
12 Jun 2022 07:40:16
commit hash: 01d57d2b2d5a1ff75ce9e1fc81913ee167ee29e4commit hash: 01d57d2b2d5a1ff75ce9e1fc81913ee167ee29e4commit hash: 01d57d2b2d5a1ff75ce9e1fc81913ee167ee29e4commit hash: 01d57d2b2d5a1ff75ce9e1fc81913ee167ee29e4 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.17 -> 0.18

Reported by:	portscout
0.17
10 May 2022 01:15:47
commit hash: f0d54eed610ab1f5db5f1789c37e31aa2f5360dfcommit hash: f0d54eed610ab1f5db5f1789c37e31aa2f5360dfcommit hash: f0d54eed610ab1f5db5f1789c37e31aa2f5360dfcommit hash: f0d54eed610ab1f5db5f1789c37e31aa2f5360df files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.16 -> 0.17

Reported by:	portscout
0.16_1
09 May 2022 19:23:51
commit hash: db78da8f8b7388d951665362479eb4aa0babf1b4commit hash: db78da8f8b7388d951665362479eb4aa0babf1b4commit hash: db78da8f8b7388d951665362479eb4aa0babf1b4commit hash: db78da8f8b7388d951665362479eb4aa0babf1b4 files touched by this commit
Kevin Bowling (kbowling) search for other commits by this committer
devel/libffi: Bump deps PORTREVISION for shlib change

PR:		263764
Reported by:	VVD <vvd@unislabs.com>
0.16
08 Apr 2022 07:46:03
commit hash: ca48dbec43583d921ebbe60ba76416beefc0095fcommit hash: ca48dbec43583d921ebbe60ba76416beefc0095fcommit hash: ca48dbec43583d921ebbe60ba76416beefc0095fcommit hash: ca48dbec43583d921ebbe60ba76416beefc0095f files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.15 -> 0.16

Reported by:	portscout
0.15
06 Mar 2022 02:15:32
commit hash: 728209e250c7cb5d884327b223e2f2a7f0aa4882commit hash: 728209e250c7cb5d884327b223e2f2a7f0aa4882commit hash: 728209e250c7cb5d884327b223e2f2a7f0aa4882commit hash: 728209e250c7cb5d884327b223e2f2a7f0aa4882 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.14 -> 0.15

Reported by:	portscout
0.14
10 Feb 2022 19:48:51
commit hash: 69fb05d4ba4f7dc10ecbc5fda9c88d4435deffa0commit hash: 69fb05d4ba4f7dc10ecbc5fda9c88d4435deffa0commit hash: 69fb05d4ba4f7dc10ecbc5fda9c88d4435deffa0commit hash: 69fb05d4ba4f7dc10ecbc5fda9c88d4435deffa0 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.13 -> 0.14

Reported by:	portscout
0.13
15 Jan 2022 18:16:20
commit hash: caa0ec21e1651884a5cc0104b608a980cd55140acommit hash: caa0ec21e1651884a5cc0104b608a980cd55140acommit hash: caa0ec21e1651884a5cc0104b608a980cd55140acommit hash: caa0ec21e1651884a5cc0104b608a980cd55140a files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.12 -> 0.13

Reported by:	portscout
0.12
04 Dec 2021 09:21:05
commit hash: ff4d093f3184e3b8fd89a37196408e4182ee99accommit hash: ff4d093f3184e3b8fd89a37196408e4182ee99accommit hash: ff4d093f3184e3b8fd89a37196408e4182ee99accommit hash: ff4d093f3184e3b8fd89a37196408e4182ee99ac files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.11 -> 0.12

Also add the test target, and run-time dependency.

Reported by:	portscout
0.11
15 Nov 2021 05:18:52
commit hash: 536e7a91a63982620ee7b9b7f77398c1775ce503commit hash: 536e7a91a63982620ee7b9b7f77398c1775ce503commit hash: 536e7a91a63982620ee7b9b7f77398c1775ce503commit hash: 536e7a91a63982620ee7b9b7f77398c1775ce503 files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.10 -> 0.11

Reported by:	portscout
0.10
04 Oct 2021 19:18:26
commit hash: d5ec1b970b865309f72749333b8a22261e710dcfcommit hash: d5ec1b970b865309f72749333b8a22261e710dcfcommit hash: d5ec1b970b865309f72749333b8a22261e710dcfcommit hash: d5ec1b970b865309f72749333b8a22261e710dcf files touched by this commit
Yuri Victorovich (yuri) search for other commits by this committer
cad/yosys: Update 0.9 -> 0.10
0.9_1
06 Apr 2021 14:31:07
commit hash: 305f148f482daf30dcf728039d03d019f88344ebcommit hash: 305f148f482daf30dcf728039d03d019f88344ebcommit hash: 305f148f482daf30dcf728039d03d019f88344ebcommit hash: 305f148f482daf30dcf728039d03d019f88344eb files touched by this commit
Mathieu Arnold (mat) search for other commits by this committer
Remove # $FreeBSD$ from Makefiles.
0.9_1
04 Jul 2020 18:11:42
Revision:541232Original commit files touched by this commit
zeising search for other commits by this committer
Chanse update of devel/libffi

Chase the devel/libffi update
Bump portrevision of all dependent ports to chace shard library version bump
in libffi.
Update LIB_DEPENDS lines where needed to not require a specific version of
libffi.so.

PR:		247028 (for tracking)
0.9
04 Jan 2020 18:47:27
Revision:522047Original commit files touched by this commit
yuri search for other commits by this committer
Move the port devel/yosys -> cad/yosys, to the proper category

Number of commits found: 41